Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by TickleMonster

  1. T

    What limits an FPGAs speed?

    My apologies for not replying sooner, thank you all very much for the detailed responses. So, if the maximum operating frequency of the FPGA is dependant on the transistor switching speed, then do the transistors in ASICs have a much higher transistor switching speed than those in FPGAs? If so...
  2. T

    What limits an FPGAs speed?

    Hello, Please bear with me as I know very little of FPGAs and the like, so I apologise if this is a fairly trivial question. :oops: I'm curious, what is it that limits the clock frequency of an FPGA; traditional processors have been running at 3Ghz for quite a while now, but I'm unsure why...
  3. T

    VHDL or verilog is better to learn?

    vhdl books Thank you - I shall do that, although to be honest I was hoping for some personal opinions, since I'm guessing with the knowledge of people here they'd have an idea of what books would be useful.
  4. T

    VHDL or verilog is better to learn?

    vhdl book Hi, I'm wishing to learn VHDL, but I'm unsure which book(s) would be best. I've got a local library to source the book "VHDL Programming By Example", but after reading a number of reviews (and the first chapter), it seems to have quite a number of errors in it, which obviously isn't...
  5. T

    any example code for bidirectional port (inout)???

    bidirectional port verilog I know neither VHDL (am very slowly learning!) nor Verilog, but I know C/C++. In C/C++ the ? operator (called a ternary operator) works as follows: a = (condition) ? true : false; So, using the line you showed, I2c_Scl will be assigned 1'b0 if the condition...

Part and Inventory Search

Back
Top