Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Taher_Selim

  1. T

    VHDL CrashSheet - Entity and Architecture

    This thread is for the general discussion of the blog entry VHDL CrashSheet - Entity and Architecture. Please add to the discussion here.
  2. T

    VHDL CrashSheet - Overview

    This thread is for the general discussion of the blog entry VHDL CrashSheet - Overview. Please add to the discussion here.
  3. T

    Hg CrashSheet

    This thread is for the general discussion of the blog entry Hg CrashSheet. Please add to the discussion here.
  4. T

    Git CrashSheet

    This thread is for the general discussion of the blog entry Git CrashSheet. Please add to the discussion here.
  5. T

    C in Windows: Link to TCL static library

    I have downloaded TCL source from here and I can compile it to generate static library in Windows7 using the following command nmake -f makefile.vc OPTS=static INSTALLDIR=path_to_your_install_dir nmake -f makefile.vc install OPTS=static INSTALLDIR=path_to_your_install_dir In the output, I can...
  6. T

    Reference to learn CRC

    Can anyone suggest me please good book name to learn CRC in deep details. It would be better if this book discesses only the CRC algorithm. thanks
  7. T

    C CrashSheet

    This thread is for the general discussion of the blog entry C CrashSheet. Please add to the discussion here.
  8. T

    Summary of C Language

    I would like to share with you summary of the C Language. For High resolution please visit find out here: https://electgon.com/c-crashsheet/
  9. T

    Understand CRC calculation for Ethernet

    Answers to my question can be found here https://www.cl.cam.ac.uk/research/srg/bluebook/21/crc/node2.html
  10. T

    Understand CRC calculation for Ethernet

    hello, I meant by Question 2 is: P is M appended by the CRC. If you reviewed the link http://www.sunshine2k.de/articles/coding/crc/understanding_crc.html it mentions in section 8.2 that "The CRC value is appended to the input data which corresponds in school arithemtic to subtraction." That is...
  11. T

    Understand CRC calculation for Ethernet

    Hello, Can any explain please how to understand CRC-32 algorithm for Ethernet. I understand the following: 1- There is an ethernet message M 2- There is a polynomial G (in case of Ethernet it is 0x04C11DB7). 3- M is multiplied first by x^32 (I don't understand why?) 4- CRC value is ...
  12. T

    weird vhdl simulation result

    In my testbench readReq is synchronized. please find below my testbench library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity fifo_tb is generic( RAMsize: integer := 256; DataWidth: integer := 8 ); end fifo_tb; architecture tb of...
  13. T

    weird vhdl simulation result

    Hallo, I have simulated the following code using ModelSim. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity fifo_SCnt is generic( RAMsize: integer := 256; DataWidth: integer := 8 ); port( clk: in std_logic; rst: in...
  14. T

    VHDL: 'open' ports assignment

    I will be grateful if you post here this part of the IEEE specification that mention this point as I don't have the standard right now.
  15. T

    VHDL: 'open' ports assignment

    Hello, I had a component in my VHDL design. some ports of this component are not needed to be connected. I have used 'open' in port map section. This works ok for ports of mode out. for ports of mode in, I have to set initial value for the port first. As an example Component HalfAdder Port (...

Part and Inventory Search

Back
Top