Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by SynthWorks

  1. S

    Verilog/VHDL Verification Assistant

    For VHDL, if you combined it with a verification methodology, such as OSVVM (current VHDL market leader) and ran it on GHDL, that would be interesting. OSVVM is at https://github.com/OSVVM/OsvvmLibraries. You are not too clear about your capability. Can you create tests that exercise all...
  2. S

    Stuck with low FSM transition coverage

    If your device is an ASIC or a fuse based FPGA, your device can power up in any random state, and then reset is applied taking the statemachine to idle. How do you assure that reset actually works? What if reset to one of your statemachines was connected incorrectly? If your device is...
  3. S

    DMA Controllers with two dedicated master interfaces & internal FIFOs.

    You would want to look at the part/board to see if the FIFO is for data or DMAC setup. WRT data, the DMAC is reading from one interface and writing to the other. If the writing interface stalls, the read can complete a couple of operations before it stalls. They must be confident the...
  4. S

    [SOLVED] DMA Controller location in SoC (different AMBA buses).

    Your DMA controller is moving data from one location to another. Locating it between the AHB and APB is going to allow it to address the AHB memory (on AHB) and move items to the SPI master (on APB). Putting your DMA controller on AHB without anything co-located will require two accesses to...
  5. S

    AXI fixed burst for multiple transfers

    When you are doing a fixed transfer, where is the data going to? Most likely it is an an IO device where you are writing into a transfer FIFO or holding register for the interface. To read these values, you would need to check that the receiving side of the interface received the correct...
  6. S

    BFM vs VIP

    Most of the terms we use have some degree of ambiguity. BFM is Bus Functional Model. All this means is that you have represented something with fidelity at the interface level. For example, for a CPU, it would represent the READ and WRITE operations the CPU does at its interface (such as...
  7. S

    Resources for learning OS-VVM

    @dpaul > VUnit also has plugin for OSVVM. VUnit only includes the OSVVM utility library. I think the intent there is to facilitate using the OSVVM RandomPkg and CoveragePkg with VUnit. OSVVM is more than just a Utility library (also called OSVVM), OSVVM also includes a Verification...
  8. S

    Resources for learning OS-VVM

    @dpaul OSVVM provides a complete solution - from basic stuff like Message Filtering (logs), Error Handling (alerts in OSVVM), and Self-Checking (affirmations) - to verification capabilities like Functional Coverage and Randomization - to verification data structures like Scoreboards, FIFOs...
  9. S

    Resources for learning OS-VVM

    OSVVM does not run yet under Xilinx tools. If you want to use it with Xilinx tools, file a bug report against their tools. OSVVM does run under Aldec ActiveHDL/RivieraPRO, Siemens ModelSim/QuestaSim, GHDL, and Synopsys VCS. We are working with Cadence to get our entire regression suite working...
  10. S

    [SOLVED] Keep Combinational Timing Loop which is disabled by DC compiler

    The message does not mean it "fixed" your timing loop by removing it. It means it is ignoring it because the presence of the timing loop causes the timing tool to be in an infinite loop.
  11. S

    [VHDL-2008] Component declaration

    Do a search on VHDL-93 entity instance. No component required.
  12. S

    vhdl or verilog !!!!!

    It is actually quite simple. Make a short list of companies you want to work for. Find out what they use. Learn that. What is the market really doing? Currently a basic SystemVerilog simulator costs much more than a VHDL simulator. EDA vendors have made a big push to get people to switch...
  13. S

    Can VHDL be used for "functional coverage"? Why not?

    TrickyDicky, 1 & 2 already have proposals for VHDL-201X. Be sure to fill out a priority sheet and rank them high if you need them. I find it particularly painful without 1. It would allow me to do a registration layer for coverage modeling. It would also simplify my indexed scoreboard model...
  14. S

    Can VHDL be used for "functional coverage"? Why not?

    During the VHDL-2008 language revision, I look into what it would take to add Functional Coverage as language syntax to VHDL. Unfortunately, if we did a "me too" implementation of what SystemVerilog, it would require OO constructs (which VHDL almost has in Protected Types). We, the working...
  15. S

    Can VHDL be used for "functional coverage"? Why not?

    Re: Can VHDL be used for "functional coverage"? Why not? The OSVVM community has grown beyond 1200 members. OSVVM's functional coverage capability is quite good. WRT to cross coverage, it is likely some what more capable than SystemVerilog. In addition to seeing OSVVM.org...

Part and Inventory Search

Back
Top