Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by swapna_4a3

  1. S

    VHDL question 2 - how to get codes together in one code

    yes.. You can build a 4 bit adder using a 4 single bit adders. and similarly you can use 4 4bit adders to generate 16 bit adder.
  2. S

    Need Interview questions for VLSI JOB hunters :)

    VLSI interview questions & answers , ASIC interview questions Hope this should be helpful to you.
  3. S

    0-1 exp vlsi job hunters in banglore

    hi.. this is swapna.. 2009 passout currently doing internship at synopsys hyd from june 15th 2009 to till now.. i have knowledge on vhdl,verilog, system verilog.. I am in search of Front end design.. please tell me if there are any vacancies.. mail id : swapna.lavanuru@yahoo.co.in
  4. S

    Searching for an Embedded software Engineer job vacancy

    hello, this is swapna, with B.Tech ECE 2009 passout.. iam attaching my resume.. for the post my mail id : swapna.lavanuru@yahoo.co.in

Part and Inventory Search

Back
Top