Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by swabhi812

  1. S

    Verdi: Assertion debug mode question

    Hey all, I am using Verdi for simulation of RTL. We have lot of assertions in design and I would like to know if an assertion is fired in a simulation or not. There is a assertion debug mode which should list out all the assertions, however, I am not seeing any information if it is passing...
  2. S

    [SOLVED] Metastabilty and data loss

    Let me try to simplify things. Output going X is just a simulation thing. In Silicon, the output always settles to a definite value after having intermediate values between 0 and 1. With synchronizers, FIFO or other mechanisms to reduce metastability you can not guarantee correct output but you...
  3. S

    How do I reduce power at synthesis stage? I cant use UPF, Clock gating or retiming.

    Can you use high Vt Cells if timing allows? This will reduce static power though.
  4. S

    [SOLVED] $urandom_range is generating same values

    Thank you for detailed explanation. This makes sense to me. I was able to use -seed random in Cadence xrun to get desired behavior. Thanks!
  5. S

    [SOLVED] $urandom_range is generating same values

    I am writing a test bench where I am randomizing few inputs using $urandom_range(max,min). However, every time I am running my test, it is returning same value. I would like to understand why I am getting this issue. Thanks!
  6. S

    priority on two asynch triggered events

    With if else you are adding a priority code. It does not matter if it is reset or any other signal, with if else you are coding priority.
  7. S

    [Verilog] Asynchronous Reset

    I will suggest you to take a look at D flip flop internal structure and see how asyn reset factors into output. Coming back to your question, if switching delay of reset from 0 -> 1 is extremely slow in that case actual circuit will not reset until reset is logically 1. Also from simulator...
  8. S

    Cadence SimVision waveform dump for parameterized multibit signals

    Hey, I just switched to Cadence SimVision from Verdi for simulations. For parameterized multibit signals in waveform dumps, I am seeing hex values instead of parameter names. I looked into preferences but could not figure out. I will appreciate if someone can help me here. Thanks.
  9. S

    Static timing analysis

    Re: Static Timing Analysis Generally if you have a setup violation on a given path, you do not have hold violation on same path. You can probably have hold violation on some other fanin cone of endpoint which you can fix by adding buffers. Using lvt and hvt cells is a common practice. I am not...
  10. S

    Clock domain crossing problem

    Of course you cannot add synchronizer for multi bit data and this is due to reason #1 (no guarantee for delay). You will need DMUX synchronizer or FIFO for multi bit data.
  11. S

    Clock domain crossing problem

    This is interview question which test possible issues with synchronizations. Nothing more than that :)
  12. S

    Clock domain crossing problem

    1. You cannot simply synchronize data from clock domain A and B to clock domain C and then perform the combinational operation. This will result in classic problem of re-convergence. With synchronizers you cannot guarantee that data will be sampled in 2 clock cycles (assuming double flops for...
  13. S

    latches in timing (do latches get timed as destination or as combo logic)

    Synthesis tool should look at both paths as previously stated. There are few processor based companies that uses latch based designs with internal timing tools to gain from timing borrowing and stealing. However, you should always use negative level latch followed by positive level latch. In...
  14. S

    How to fix setup timing violations for a design with high speed clock?

    1. Can you use higher metal layers for routing to reduce interconnect delay? 2. Pipeline. 3. Upsize cells. 4. Add buffers to reduces interconnect delay. 5. Add low Vt cells which are faster but more power hungry. As in you have lot of options. Just use all the basics of reducing delay.
  15. S

    is the race condition normally observed in RC timing analysis?

    As others mentioned question is not making much sense. It is be a good idea to read more about static timing analysis. Feel free to post relevant question if you encounter after that.

Part and Inventory Search

Back
Top