Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sval

  1. S

    will a case statement work inside a for loop ( vhdl)

    for loop within case statement in vhdl try this process(clk,rst,ena) begin if (rst = '1') then for i in 0 to 2 loop case (i) is when 0 => when 1 => when 2 => end case; end loop; elsif (rising_edge(clk) and ena = '1') then for i...
  2. S

    convert std_logic_vector to integer in Quartus

    integer to std_logic_vector use ieee.numeric_std.all; ...<= to_integer(unsigned(....)); ...<= to_integer(signed(....));
  3. S

    How to interface with external memory in FPGA

    how to interface dram Try use SDRAM chip for external RAM. Look it download.micron.com/pdf/datasheets/dram/sdram/64MSDRAM.pdf Here u will find interface description for SDRAM.
  4. S

    Filter made with fdatool, how to apply it

    applying fda tool filter Export coefficients to workspace and use filter(...) function to apply ur IIR filter for given signal

Part and Inventory Search

Back
Top