Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ston10

  1. S

    help for vhdl error in qu(at)rtus II Analysis & Synthesi

    help needed for vhdl library IEEE; use IEEE.STD_LOGIC_1164.all; entity recoredor is port ( A,B,C,D: in std_logic; S0,S1,S2,S3,S4,S5,S6,S7:out std_logic); end recoredor; architecture ejemplo of recoredor is begin S0<=((not(A) and not(B) and not(C) and not(D)) or (A and B and C and D))...

Part and Inventory Search

Back
Top