Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Smuggl0r

  1. S

    VHDL Question: case statements

    Hi, I was just wondering something. If i have a some code like this: process(CLK) begin case Signal is when SignalCase1 => Signal <= SignalCase2; when SignalCase2 => Signal <= SignalCase3; when SignalCase3 => null; end case; end process; Now what this code should do is, on every change...

Part and Inventory Search

Back
Top