Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by siowyein

  1. S

    binary to bcd converter

    binary to bcd-converter Dear lordsathish Thanks. I am usingAltera maxplus 2. I cant compile it. Did you really successfully compile the code? Ok. then i try my effort to change it. And also will post it later. Hope you can help me out. THANks....
  2. S

    binary to bcd converter

    I m curently work out this converter but the compilation is not successful. Below is the codes and error message. And I am using shift add3 algorithm for this. LIBRARY ieee; USE ieee.STD_LOGIC_1164.all; USE ieee.STD_LOGIC_ARITH.all; USE ieee.STD_LOGIC_UNSIGNED.all; --use work.project.all...

Part and Inventory Search

Back
Top