Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by silverbyte

  1. S

    two question about sta!

    A Higher transition time increases gate delay and also setup requirement of the next flop. But it need not increase hold requirements. The definition of hold in STA is different - it means that the data clocked in the current clock cycle at the previous flop must NOT reach this flop in the same...
  2. S

    Problem with synthesis of VHDL code

    Re: synthesis problem I think your RTL simulation is not taking account of the blocking constructs. It is wrong.
  3. S

    Explain me concept of virtual clock in constraining design

    Re: virtual clock There is a good post on Virtual clocks at https://loxos.blogspot.com/2005/04/timinganalysis-why-virtual-clocks.html What do you think of this explanation?
  4. S

    problem about dc writing out

    Could you provide a testcase? I can try and see, but your question is too vague.
  5. S

    what is hot-topic in digital design now?

    I think it is DFM - Design for Manufacturing. At sub 90 nm levels, chipd yields are going lower and lower. I think DFM is the next hot field to come up

Part and Inventory Search

Back
Top