Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by SHRIRAM_GAUR

  1. S

    diff btw bit and std_logic in vhdl

    Re: std_logic in vhdl 'U' --> Uninitialized This is the default initial value for objects of type STD_LOGIC. If no initial value is specified in the declaration of an object, the object acquires value 'U' after the initialization of simulation. 'X' --> Forcing Unknown 'X' results if two or...
  2. S

    Structural VHDL code for SR Flip-Flop

    Re: vhdl code for sr flip flop I have compiled structural SR FF code and came to know, 1. you have added signal "clear" in Structural_SR_FF, why? even the signal is not referrred anywhere else?

Part and Inventory Search

Back
Top