Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by showsellar

  1. S

    matlab model compare - A theroy/concept math question

    Hello I having a problem to compare two sets of measurements each have 4 parameters I tried interp1 and I got for each parameter a new measurement and now I can calculate the error from the interp data What is the best way to calculate the error and how can I know if it's good enough I have...
  2. S

    Problem with signals between FSM and some components in ModelSim

    Re: modelsim bad signals let make it simpler shell we I have removed any redondent logic and left only a small state machine and one pwm component still the same problem any help will be much appreciated **broken link removed**
  3. S

    Problem with signals between FSM and some components in ModelSim

    Re: modelsim bad signals yes I can what? I run your code and it didn't solve the issue and as I said the sync reg hasn't solved it either for the S_P_PWM & M_P_PWM I have attached images
  4. S

    Problem with signals between FSM and some components in ModelSim

    Re: modelsim bad signals so I don't need it and the else pwm <= 'o' was wrote in order to try and solve an unknown signal state at the modelsim, you right it's redundant as I said before I have put a sync reg in order to solve the problem and I thought I did but only because the signal is to...
  5. S

    Problem with signals between FSM and some components in ModelSim

    Re: modelsim bad signals so he will assign the reset value zero or the last assign value (after the count is done I am asssinging zero as well), and if I am not sure what value he have why not assign him zero instead of his last value that should be in that case zero any way?
  6. S

    Problem with signals between FSM and some components in ModelSim

    Re: modelsim bad signals why did you added that line... if the enable isn't activated there is no counter and the enable wont't come done untill the counter is done and zero out so I don't see the point
  7. S

    Problem with signals between FSM and some components in ModelSim

    Re: modelsim bad signals your not worng but I did checked my code and I don't see any double assignments to those signals. I only assign a value to the signal at the pwm component (pwm signal) after enable ---------- Post added at 08:19 ---------- Previous post was at 08:16 ---------- this is...
  8. S

    pin assignment in de2

    I have opend I new thred named "modelsim bad signals "
  9. S

    Problem with signals between FSM and some components in ModelSim

    Hi I have built a state machine with components and for a robot and got strange behaviors. it's seems to be that the control signal for the motor isn't coming for some reason... I have made a modelsim simulation and I believe I have found the reason for those behaviors... some signals that...
  10. S

    pin assignment in de2

    It wasn't clear to my a month ago too (; but it's all right I solved it. Do you know VHDL ... I am having a problem with a state machine that I had build for a car a red signal in modelsim where it supposed to be a pwm enable and a pwm signal
  11. S

    pin assignment in de2

    hello ... someone please help
  12. S

    pin assignment in de2

    hello, I am using DE2 board and quartus I wrote a design in vhdl that include some component that each have pin assignment of it's on and the main design should have the same pin assignment in some cases. could I use the same assignment for ports that have the same purpose like reset and...

Part and Inventory Search

Back
Top