Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sherif123

  1. S

    Digital block power requriements

    Hello, I am new to power analysis in digital ASIC. My question is how to properly use the power report numbers to get the requirements for the LDO and decoupling capacitor that supplies the digital block Assume I have the following numbers: internal power: 8mW switching power: 1mW leakage...
  2. S

    How to do post layout simulation during digital ASIC design?

    How did you make the simulation for the original verilog code? It should be the same, but you need to first compile the standard cells library file then compile the output netlist from the layout tool. Also note that you may not see internal signals of the block because the netlist may have...
  3. S

    RTL and constraints for DFT normal and scan clocks

    Hello I am new to DFT and I want some help with the following long issue A design has 3 clocks A, B and C with frequencies 100MHz, 50MHz and 20MHz respectively. All clocks are asynchronous from different clock sources. All three clocks are used in the block. Paths between clocks can be...
  4. S

    How to calculate log10(n) in Verilog

    As "c_mitra" replied, complex math functions are calculated using numerical methods. This question is not about verilog or digital design. You can search on how to calculate the log or ln or whatever using some additions/subtractions/multiplications/division then you use HDL to implement this.
  5. S

    [SOLVED] ModelSim tcl directory path

    vcom ../file.vhd ".." is like a folder but it indicates the previous folder "." indicates the current folder
  6. S

    Can one force ModelSim to flag signals missing signals in sensitivity list?

    In the compile menu in modelsim, select "compile options". Under VHDL tab, under "Check for" enable the checkbox "synthesize". When you run the command "vcom" for vhdl files, you will find few warnings like missing signals from the sensitivity list.
  7. S

    Counter Preload by any given values

    Sandy2811, in the code you provided, you need to change the "always @(posedge clk)" to be "always @(posedge rst or posedge clk)" to see the effect of "rst" in simulation
  8. S

    [SOLVED] Tcl to update version number

    Have you tried https://www.cygwin.com/? It provides tools similar to what you can find on linux. It has the "sed" command and other useful stuff that exist in linux.
  9. S

    FPGA interfacing ADC with sampling rate >150 Msps

    Thank you filip.amator I did not select the ADC chip yet. The expected interface is LVDS. All the channels are synchronized. For the RAM, I may need to add DDR3. I already made boards with ADC/DAC with FPGAs, but my question is about your recommendation if the sampling rate is more than 150...
  10. S

    FPGA interfacing ADC with sampling rate >150 Msps

    I am about to select an FPGA for a system in which there are two ADCs with sampling rate more than 150MHz. There are many choices for the FPGA model. I can use Altera or Xilinx. I want to select the best FPGA model for this purpose. I can select from (Altera) Cyclone 5, Arria 5 or (Xilinx) Artix...
  11. S

    Programming FPGA thorough UART port ?

    wesleytaylor, when you said "(with a bit file that didn't have loader & can comm bus)", did you mean that the hdl code of the FPGA has a certain custom block that can access the flash memory of the FPGA?? I once wrote my own custom HDL code to access the flash of one Altera FPGA to save some...
  12. S

    How do I make modelsim only compile files that have changed

    Although I did not do it myself before, I think you can do it using make command and a makefile like the software developers.
  13. S

    Cypress USB3 evaluation board CYUSB3KIT-003 with FPGA

    I wanted to evaluate USB3 communication with the PC with Cypress FX3. The evaluation board CYUSB3KIT-003 contains that USB3 chip. I wonder if anyone here has used this chip before with FPGAs. Will this evaluation board be enough? Thanks
  14. S

    VHDL: 'open' ports assignment

    As said in the standard, you can set default value in the entity declaration like input_data : in std_logic_vector(7 downto 0):= "00000000"; If you left that port (input_data) open, no error will be reported. You do this in the component declaration on the parent design block.
  15. S

    [SOLVED] interfacing Artix 7 for image processing algorithm

    Your question will require a very long answer But first, Does your board have external memory? If it has one, learn first how to interface with it and store data there and read it back. If it does not have, check what the available memory size of the FPGA chip is. After that, how big is your...

Part and Inventory Search

Back
Top