Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by shenyiliu

  1. S

    Some problems due to Altera megafunctions

    some more details may be considered, 1 The Quartus is 13.0 2 The two matrix are 2x8 and 8x2 respectively, and data format is 32 bit, 3 . The error location is in the port declaration just like this .vector_m_data ({256{1'b0}})) 4 the design examples from Altera website are in VHDL and not...
  2. S

    Some problems due to Altera megafunctions

    Dear all I need to instantiate an Altera float-point matrix multiplier megafunction in my design, and plan to implement it with a one-hot state machine. The problem is that the filed generated by the quartus megafunction wizard have errors, such as in the .v (I use verilog) file there is Error...

Part and Inventory Search

Back
Top