Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sheepherdee

  1. S

    Help! it's urgent! (VHDL) ncsim error:signal "p" has multiple sources

    thank you ! we don't specify the type of the counter.
  2. S

    Help! it's urgent! (VHDL) ncsim error:signal "p" has multiple sources

    I'm a beginner.When I complie this VHDL file of my IC design homework, I encounter with the error. I have searched many forums and know the reasons now. But I don't know how to make it right. If anyone can help me, I really appreciate. At last, thank you. error:signal "p" has multiple sources...

Part and Inventory Search

Back
Top