Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by shashwatss

  1. S

    Digit Truncation in unsigned BCD decimal number format using vhdl

    Hello, I would like to perform a multiplication of an unsigned number with 10^-7. This implies 7 Digit truncation beyond decimal Point in BCD. I am Aware of truncation and quantization Errors and have mapped them to my acceptable Standards. Code until now: - Architecture RTL of xyz is signal...

Part and Inventory Search

Back
Top