Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
how to do matrix multiplication using VHDL if the entries in the matrix are complex numbers (a+jb) ?
is there is any synthesizable package for complex matrices or any different procedure to be followed for that..?
Thank you for ur response...I'll go through that operators....how to approch a matrix inversion in vhdl...? Is there any other method to do matrix inverse
Than
package for determinant
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
package mat is
type t11 is array (0 to 2) of unsigned(3 downto 0);
type t1 is array (0 to 2) of t11; --3*3 matrix
type integer is range 0 to 256 ;
function dd (a:t1) return integer;
end mat ...
Hi
I tried matrix inverse code...First i wrote package for finding determinant...then i wrote package for adjoint matrix. In vhdl module i have use both packages....Whether this approach is correct or not..? please help me..
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.