Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by samuel_john

  1. S

    Why do FF and latch require a setup time?

    Re: SET UP TIME thanks for reply... if all semiconductor device has delay...then even.... and gate, or gate....should also have setup time.....then why is that only FF and latch is having a setup time.... rajkumar
  2. S

    Why do FF and latch require a setup time?

    Re: SET UP TIME i would change my question to... why is setuptime and holdtime required for a latch/FF.
  3. S

    Why do FF and latch require a setup time?

    SET UP TIME hi everyone./... i came with a very basic question.... why do FF require setup time.....if we consider a FF it would be a Master slave Latch....or a narrow pulse triggered Latch,.,,,, so why do latch require a setup time...is it something related to Transitor parameter or gate...
  4. S

    Help me interface instrument with RS-449 to PC

    RS-449 interface hi ALL A last try over here didn't got reply when posted on other groups so posting again the same topic here. I am hoping to get a reply i need some help on RS-449 interface.I have an instrument having RS-449 interface and want to interface it with the PC where can i get...
  5. S

    Where can I get the driver for RS-449 ?

    RS-449 interface... hi ALL didn't got reply when posted on DFT group so posting again the same topic here. i need some help on RS-449 interface.I have an instrument having RS-449 interface and want to interface it with the pc.. where can i get the driver for RS-449. what would be the best...
  6. S

    Divide by 1.5 Counter VHDL Code is here

    vhdl divide 1.5 hi i tried to understand the PDF but couldnot follow it properly.i am not able to understand the effect of combinational loopback... how to analyze the combination loopback circuit. any help would be greatful since i am not able to give much time towards it.
  7. S

    does any one know where I can get DLL model for simulation

    Re: does any one know where I can get DLL model for simulat hi i am not familiar with VCS simulator any way if u r palnning to use xilinx device then for simulating xilinx component they will provide unisim library.. search for unisim library, there u will find DLL component
  8. S

    does any one know where I can get DLL model for simulation

    Re: does any one know where I can get DLL model for simulat hi which tool u r using. if u r using xilinx ise tool, go to edit-> language templates..u will find the dll component given, include unisim librar
  9. S

    How to avoid using clk buffer ?

    Re: How to avoid clk buffer hi The maaping of non-clock signal into bufg occurs depending on the load on the signal. If the load on the signal is high or if the bufg is free then the unwanted signal may get mapped into bufg, either u should resctrict the usage of bufg by going to synthesis...
  10. S

    VLSI engineer vs ASIC engineer

    hi what would u desiginate a person working in FPGA design..coding till P&R...
  11. S

    Need some information about FLI usage

    Re: FLI Help needed!! hi modelsim provides a very good FLI reference document along with tool search in modeltech/doc/pdf/fli.pdf u will get there if u don't get it i will upload here
  12. S

    logical reset duplication

    hi The reset pin is not locked in global input, so due to the load the reset gets duplicated. now in cpci inteferace consider the bidirection data and tri-sate enable pin. after flattening the design these two FFs( output data and tristate en) are taking different asychronous reset because of...
  13. S

    How to write ROM data and insert it into vhdl code?

    Re: how to implement? hi in xilinx u can create ROM using coregen and initialize the value using coefficientt file (*.coe ) . i have attached test.coe file u can check the fromat. in Altera u can directly create memory iniliization file using GUI and megacore wizard hope it helps
  14. S

    How to create a macro in xilinx and use it?

    Re: how to create macros has anyone worked in creating macro in xilinx without the use of synplify tool thanks
  15. S

    Looking for Synplify 7.3.1.

    synplify hi can anyone get me the link or exe file for synplify 7.3.1.. thanks

Part and Inventory Search

Back
Top