Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Saires

  1. S

    Bidirectional LVDS at Altera Cyclone III

    ads-ee First of all I use CYC3 DevBoard, so clk_2 is a Pletronics's crystal oscillator, and is not a logically generated clock. The reason for using counter in the design is to ease debugging. And of course, no matter that I am a newbie to FPGA design, I know what is BLVDS. If you read the...
  2. S

    Bidirectional LVDS at Altera Cyclone III

    While debuging the project I found out that the code module starter_3 (led_5, led_6, clk_2 /*25 MHz*/, out, out_n); reg trans, trans_n; output out, out_n; reg T_5; reg [25:0] COUNT_clk_2; output reg led_5, led_6; input wire clk_2...
  3. S

    Bidirectional LVDS at Altera Cyclone III

    Re: Problem with bidirectional BLVDS However there still appeares error Error: Bidirectional pin bidir_pin with a pseudo-differential I/O standard must use the output enable control signal on the output buffer after compiling your part of code and assigning "BLVDS" to bidir_pin .
  4. S

    Bidirectional LVDS at Altera Cyclone III

    Problem with bidirectional BLVDS While developing the folowing design in Verilog for Cyclone III module Bi_dir_bus (data_to_from_bus, send_data, rcv_data); inout [15: 0] data_to_from_bus; input send_data, rcv_data; wire [15: 0] ckt_to_bus; wire [15: 0] data_to_trom_bus, data_from_bus...
  5. S

    Bidirectional LVDS at Altera Cyclone III

    And do you have some code that demonstrates this functionality?
  6. S

    Bidirectional LVDS at Altera Cyclone III

    Is tried to use ALT_IOBUF_DIFF for that purpose, but the following Altera's example code module test(in1,in2,oe,out,bidir,bidir_n); input in1; input in2; input oe; inout bidir; inout bidir_n; output out; wire tmp1; and(tmp1,in1,in2)...
  7. S

    Bidirectional LVDS at Altera Cyclone III

    Hi, everyone. Does there exist any correct example of implementing bidirectional LVDS on verilog for Altera Cyclone III? Regretfully, I didn't find any at the altera website. Regretfully, I didn't find any at the altera website. I will be quite pleased for a piece of code.

Part and Inventory Search

Back
Top