Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by saadterr

  1. S

    Verilog A (cadence) help

    hi, i am new to verilog a. i want some help related to simulation.... for example. i have written a simple code of resistor. can you plz tell me how can i simulate and check my code..thankx

Part and Inventory Search

Back
Top