Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ryoban

  1. R

    How to display letters that will move along LEDs in Spartan 3 board?

    hi I use the spatan 3 i want to do the following topics with it 1.i want to have the vhdl code or to know how i can write it to display letters that will pass from one led to another of the spartan 3 starter baord. 2.I want to use sreen to display what Letters 3.and i want to use RS232 or...
  2. R

    How to write a VHDL code to use the Spartan 3's memory?

    HI, I use the FPGA SPARTAN 3 i just want think you for advise. You asked me to give you the vhdl source code that i want to use.here it is: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity leccd1 is Port ( d : in...
  3. R

    Help me design electronic counter that measures load power

    counter hi, I want to design an electronic counter that can measure the power of taken by a load like a house.Please could some one help me ?:cry:
  4. R

    Need VHDL code for displaying letters that move between LCDs in Spartan 3

    hi , i have another problem.i want have the vhdl code or to know how i can write it to display letters that will pass from one lcd to another of the spartan 3 starter baord.:|
  5. R

    How can I write a VHDL source code to use the SPARTAN 3 memory ?

    HI, i just want think you for advise. You asked me to give you the vhdl source code that i want to use.here it is: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity leccd1 is Port ( d : in std_logic_vector(3 downto 0)...
  6. R

    what is the use of modelsim

    I face many difficulties with this software; 1rst--may we use modelsim to simulate an electronic circuit behaviour 2nd--when i write a vhdl of a component, the simulation gives me red wave signals and it seems to be a package error but i don't know how to solve this probleme because i think i...
  7. R

    modelsim netlist generation

    modelsim netlist Hi all, i'm using modelsim xe 5.8c (free version) but i didn't yet generate the netlist of a programm please could some one tell me how to do.

Part and Inventory Search

Back
Top