Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rudzz34

  1. R

    Inferring DSP48A1 on Spartan6

    Ok so I have restructured my code a bit. If you can't tell, I'm trying to implement an LMS Adaptive Filter using DSP48A1 slices on a Spartan6. Here I have a tap module and a coefficient update module. I would like each to use one DSP48A1 slice. I'm going to instantiate multiple of each(one coeff...
  2. R

    Inferring DSP48A1 on Spartan6

    Will the following code infer two DSP48A1 slices? Will they if I use (*Use DSP48*) before the module declaration? If this won't infer DSP48A1 slices, what else would I need to add? module tap( input clk, input [17:0] xn, input [35:0] addin, input [17:0] errmu, output reg [17:0] xn1...

Part and Inventory Search

Back
Top