Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by RoldGold

  1. R

    [SOLVED] Trouble with ModelSim, trying to use std_logic_textio synopsys hread procedure

    It's working. :smile: I've been reading some tutorials and what you're saying makes much more sense. Thanks again.
  2. R

    [SOLVED] Trouble with ModelSim, trying to use std_logic_textio synopsys hread procedure

    Ok thanks you're the man, I'll try this out now. I've looked through a number of examples online where people used textio and all the explanations seemed to be piecemealed together, it was hard to get a firm grasp of what the heck I was doing. I'll see if I can find some better ones.
  3. R

    [SOLVED] Trouble with ModelSim, trying to use std_logic_textio synopsys hread procedure

    TrickyDicky, I tried your suggestion with no luck :???: I'm using hread on some dummy code now and ModelSim throws an error saying: "No Feasible entries for subprogram "hread"." I must be doing something wrong still, I've attached screenshots and code for reference. I got the Synopsys...
  4. R

    [SOLVED] Trouble with ModelSim, trying to use std_logic_textio synopsys hread procedure

    Trouble with ModelSim, trying to use "std_logic_textio" synopsys "hread" procedure I'm trying to use the hread procedure from std_logic_textio.vhd from synopsys in ModelSim and I'm running into some trouble. Currently my vhdl testbench throws an error during compilation saying: "Unknown...

Part and Inventory Search

Back
Top