Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by redsox123

  1. R

    Do I need an RC on the front end of buffer

    With a simple active low pass filter like in the image it is easy to see that the filter frequency is set by the RC. If however, the buffer bandwidth is the same as the RC cutoff frequency is the RC necessary? Does the RC just give you double the attenuation?

Part and Inventory Search

Back
Top