Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rascal1125

  1. R

    Using Primetime(pt) to do presimulation after DC and postsimulation with sdf

    Re: primetime primer! in Q1, 'compile_stamp_model' you used in DC it to model a timing delay between design blocks. Of course, since it is a sort of timing model, it can be used in timing analysis. Basically, after synthesis, you will generate a new netlist, SDC, and SDF .... To do STA...
  2. R

    multicycle and false path detection

    false path and multi cycle path There is a tool called "TimeCraft- Constraint Manager" which can detect precedence conflict between timing exceptions. Also, it will show details about what timing exception is applied on timing path when report_timing. You can try contacting **broken link...
  3. R

    What is the SDF file contains?

    In addition to above information, SDF files also includes two tpes "ABSOLUTE" and "INCREMENTAL" Thanks
  4. R

    What is timeborrowing related to Static timing anaylsis?

    half latch to flop timing paths Generally, 'timing borrowing' is to use latch's behavior to make slack of previous stage or next stage met according on max. borrowing or balanced borrowing. Other STA tool also provide 'pass-through' mode for timing analysis in latch designs, such as...

Part and Inventory Search

Back
Top