Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ranayehya

  1. R

    Embedded Linux Application

    Hello, All I am trying to understand some concepts about Embedded Linux and Why engineers use it. I read this article https://www.eetimes.com/document.asp?doc_id=1277902# and I understand that applications that need server controlling and image processing Is there the only applications for...
  2. R

    Embedded Linux Applications

    Hello, All I am trying to understand some concepts about Embedded Linux and Why engineers use it. I read this article www.eetimes.com/document.asp?doc_id=1277902 and I understand that applications that need server controlling and image processing Is there the only applications for Embedded...
  3. R

    Couldn't find design package

    Hello, all! I am new in UVM and I have this error while compiling my design Could not find the package (design_pkg). Design read will continue, but expect a cascade of errors after this failure. Furthermore if you experience a vopt-7 error immediately before this error then please check the...
  4. R

    [SOLVED] Simulation in questa

    Hello, all! I am working on top design module on Questa. The code is like module top( input wire .. output reg ..); reg..; wire..; When I run the simulation, It shows me the wires and registers defined between the brackets but registers and wires defined outside the module are not shown. And...
  5. R

    [SOLVED] Instantiating module with inout

    Thanks to you all for commenting. It works when I write it in that way. assign sda = enable? sda_reg : 1'bz;
  6. R

    [SOLVED] Instantiating module with inout

    Hello, FvM! In the first image, "enable" signal was = 1. So sda = sda_reg and that works In the second image, "enable" signal was = 1. sda should = sda_reg but this is not happening When "enable" =0, the master will read from the slave (acknowledge bit) I managed this piece in my code, but I...
  7. R

    [SOLVED] Instantiating module with inout

    Hello! I am new in verilog and I begin working on I2C protocol hardware implementation The master code works well but when I combine all files together the master code does not work as it was "sda_reg" is the problem and I do not know what I did wrong the top code module top; wire...
  8. R

    [SOLVED] Bad handle or reference

    I just solved my issue. I should add this line to my initial block in code.sv // A = new;
  9. R

    [SOLVED] Bad handle or reference

    I got this fatal in simulation at this line A.KeyExpansion(); in TEST.sv file TEST.sv file import uvm_pkg::*; `include "uvm_macros.svh" import code_pkg::*; module test(); AES_do A; int i; initial begin A.nonce [8 ]={8'h00,8'h00,8'h00,8'h00,8'h00,8'h00,8'h00,8'h00}; A.key [16]={...
  10. R

    [SOLVED] Fatal error while running top module || UVM

    AES_MoniterAFter.sv file's job is to import a C code and predict the output. AES_test is in top module(the interfacing module between UVVM files and verilog code). I already compiled the top module. - - - Updated - - - I found my problem. I declared two variables with the same name + "r" in...
  11. R

    [SOLVED] Fatal error while running top module || UVM

    Yes, I have. Sir, Dave Rich. Do you want to see the code ?
  12. R

    [SOLVED] Fatal error while running top module || UVM

    Hello! I am trying to run my first UVM design using these command but I get those errors And the commands(these commands used after compiling the design file and UVM files) vlog AES_MoniterAFter.sv -dpiheader dpiheader.h add.c vsim -coverage -t 10ns -novopt work.AES_tb_top...
  13. R

    [SOLVED] Segmentation fault in C code

    Hello, Easyrider83! This piece of code is a comment. It is my bad that I did not delete it. My apologizes.
  14. R

    [SOLVED] Segmentation fault in C code

    Hello! I want to concatenate 2 unsigned char (temp and counter) contain hexadecimal numbers and store them in another variable (after) but this code ives me segmentation fault. Could someone help me? Thanks.. #include<stdio.h> void concat() { //unsigned char state[4][4]; unsigned char...
  15. R

    Working with sparten 3e starter board

    I added a schematic file to my project and selected "View HDL Functional Model" option from design menu and it gives me (schematic file name.vhf) file and that is what written inside it and the schematic file is empty.

Part and Inventory Search

Back
Top