Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by radon

  1. R

    How to create the SDF & VHDL netlist for ModelSim SE 5.7

    creat netlist with modelsim I am a facing a rather strange problem. I want to do post place and route simulation for my design targetted for xilinx virtex 4. I am using ISE 10.1, I have generated the post place and route model (_timesim.v) and sdf. I have also generated simprim library using...

Part and Inventory Search

Back
Top