Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by qlmei

  1. Q

    synopsys DC synthesis problem

    synthesis assign Hi all, There is always "assign" statement in my verilog netlist after synthesis. I tried the following command before compile but it doesn't help. Please advise. set_fix_multiple_port_nets -all -buffer_constants set_simple_compile_mode true set hdlin_keep_signal_name none.
  2. Q

    simulation after Place&Route

    Hi, everyone After Place&Route, i import verilog netlist to cadence and got symbol and schematic. If i want to simulate with schematic, how to include the .sdf file?
  3. Q

    wiring violations in place & route tool

    Hi, i just met the same problem as you referred. Do you know the solution now? Thanks.

Part and Inventory Search

Back
Top