Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Priamo

  1. P

    Is it possible to clone of the XDS510PP (TI DSP emulator) ?

    Re: Is it possible to clone of the XDS510PP (TI DSP emulator I tried to download, but the link is broke. But I have two differents aproaches for XDS510, with PCB and SCH. One use the SMC34C60, and other use Xilinx XC95108-20.

Part and Inventory Search

Back
Top