Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by prakash_kadri

  1. P

    VHDL code flow in ISE Design Suite 14.7

    Yes. I am sure the pulse width is not rapidly varying. I tried with increment of "1" for "divrel1"in the below code line. The issue remains the same. So now I ordered 5V 20A SMPS to try. if(divrel1<2100) then divrel1 <= divrel1+10;
  2. P

    VHDL code flow in ISE Design Suite 14.7

    I got stuck in my project. Small servo(MG90S) works perfectly with the above program. But when I connect little bigger servo(KS3527), it looks like the power is not enough and I can hear buzz... sound from inside the casing. In scope I am seeing the PWM signal.I am using 5V , 5A normal power...
  3. P

    VHDL code flow in ISE Design Suite 14.7

    Thanks for the clarification. I am new to VHDL , so asking silly questions. thanks. - - - Updated - - - I have below VHDL code for RC Servo motor. I am using Spartan-6. When I execute the program the Servo moves to 180 degree position and again comes back to 0 degree position and it happens...
  4. P

    VHDL code flow in ISE Design Suite 14.7

    VHDL code flow in Xilinx ISE Design Suite 14.7 hi, Is it possible to see code sequence in VHDL using Xilinx ISE Design Suite 14.7? I want to know which code line executes one after the other when the program is running. Hope you understood what I am trying to ask.
  5. P

    Speed of RC Servo VHDL code

    1) What should be the max increment we can give to divrel? How we decide that, by trial and error? 2)If I modify the program as below, it is supposed to reach the desired position with the maximum speed?. process begin wait until rising_edge(CLK50M); if(ms10='1') then if BTN(0)='0' then...
  6. P

    Speed of RC Servo VHDL code

    Hi, With some research I found the below VHDL code for RC servo motor. I am using "ax309-xilinx-spartan-6" board. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_unsigned.ALL; entity SPI_Servo is Port ( BTN : in...
  7. P

    [SOLVED] VHDL Counter FPGA Spartan-6

    Hi All, Thank you very much for your valuable inputs. Now the program works as I wanted. BTW I am working on a hobby robot where I am using 6 servos , so this exercise I did to understand how to create delay between the servos.
  8. P

    [SOLVED] VHDL Counter FPGA Spartan-6

    I want the led to blink. I mean ON when count = 250000000-1 is reached and rest of the time OFF
  9. P

    [SOLVED] VHDL Counter FPGA Spartan-6

    Hello VHDL Experts, I am new to VHDL. I want to write a simple VHDL program which allows the LED to light up after 5 sec.I am using Spartan-6. The board contains 50 MHz Clock.That means my clock period should be 20 ns. So the value of delay should be equal to (5000000000 ns / 20 ns) =...
  10. P

    Spartan-6 Servo Control

    I wrote .ucf file myself and assigned the pins for each inputs/outputs. Only Clock input pin I had some doubt. Thank you for clarifying that it should be T8. However, in .ucf file I tried with T8 as clock input and assigned pins for other inputs and outputs, but still the servo dint work. I am...
  11. P

    Spartan-6 Servo Control

    yes the control wire is unconnected.
  12. P

    Spartan-6 Servo Control

    hi bking, thank you for your reply, No I haven't tried with Scope,I don't have one :-( . Yes I am using correct I/O pins in the FPGA. . About clock enables can you explain me bit in detail. Also I have noticed 2 things, 1) When I compile the program in ISE design Suite 14.7 , for clock it...
  13. P

    Spartan-6 Servo Control

    Hi , Very recently I tried to learn VHDL using some online courses. With my limited knowledge and using the help of some experts online I wrote below code. I am using ALINIX SPARTAN 6 XILINX board and Servo KS-3527...
  14. P

    Gsm based home security system

    ok Thank you......I will try...
  15. P

    Gsm based home security system

    oh ok... For the above code I have hex file for Atmega 8 and 3.68 Mhz crystal .Can i use the same code with Atmega 8 and 16 Mhz crystal dev board.? Or should i replace the 16Mhz Crystal with 3.68Mhz in the a Atmega 8 dev board and use the hex file.? Please let me know.

Part and Inventory Search

Back
Top