Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by potatovdhl

  1. P

    How to map 2 inputs to an input between 2 components?

    using a mux makes sense, the RF has extra selectors and enables to determine where the input goes so i thought omitting a mux was possible if they were simply connected together and giving it signals to enable a particular register would be enough. thanks
  2. P

    How to map 2 inputs to an input between 2 components?

    Not even sure if my title describes it correctly. I'm trying to instantiate 2 components together, in this case a register file and an ALU In the instantiation entity, i have PORT( .... inputdatapath: IN STD_LOGIC_VECTOR(7 DOWNTO 0); .... ) in the RF component i...

Part and Inventory Search

Back
Top