Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by pioneer9112

  1. P

    I need help with VHDL register

    thx for help, but I need more help I need creat a register ARCHITECTURE and adder ARCHITECTURE in same project, Xr and Yr must be taken from register LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY r3g IS PORT (X, Y : IN STD_LOGIC_VECTOR(3 DOWNTO 0)...
  2. P

    I need help with VHDL register

    i wondering how create a register in witch i can write 4bits A input and 4bits B input. then take these data from register and sum them. sorry for my english

Part and Inventory Search

Back
Top