Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by paulki

  1. P

    power-aware simulations

    You are talking about the Voltage Aware simulations rather than the PA. I dont think any of the digital simulators (MVSIM, Questa-PA, or Verdi-PA) will support the Voltage Aware simulations. You cant really distinguish between the voltages and the same will not converted inside the design to...
  2. P

    Known limitations of Power Aware GLS with Questa sim

    Hi, Please revert back if the PA experts who can comment on the Power Aware GLS with the Questa Sim. There are some difference like the way Questa taking the Hard Macros as different optimisation and the visibilty to the design is removed while elaborating. If anything critical, known are shared...
  3. P

    how to eliminate the warnings in gate level simulation

    Error suppression switches are different in different simulators. If it is Questa, use "+nosdferror" with vsim command. eg: "vsim +nosdferror +sdf_verbose"
  4. P

    Locked access in AMBA ahb/axi

    If it is a time critical, design specific slaves like DDR, Graphics, Video Buffer Units, it is true to have locked access. But not always.
  5. P

    sdf annotate asynchronous paths in a multi-clock design

    Re: How to sdf back-annotate asynchronous paths in a multi-clock design If you are doing only CDC path to get SDF annotate, and not considering the other part in GLS then you may get functional failures itself due to timing issues. These functional FAILURE would not actual failure itself. This...
  6. P

    In 2-D memory array, how to force a specific address to 8'hFF?

    You cant straight away force the memory content. Instead you can do simulator commad to dump the memory content. If you use NCSIM "memory -dump ..." is the option, similar to your tool identify the command, once you dumped the txt file modify the memory content and reload again to the memory...
  7. P

    error in verilog output code for counter testbench

    Very simple reason is your output declaration "output [3:0] count" should matched with your reg declaration. It should be "reg [3:0] count". Otherwise as per your code, reg assignemnt on single bit and your counter could not proceed further. -paulki
  8. P

    Explanation of the booting sequence of a chip

    Booting Sequence in SoC/ASIC In any SoC/ASIC will have atleast One Master Processor (ARM/MIPS/MicrController) to control the Data path and Control path. ARM based SoC are common in nature nowadays and better to explain in ARM points of view, but still applicable for any Master Processor. 1...
  9. P

    Interview with sasken for Verification Engineer

    Think thrice !!!. Google about the Company's current position and take inputs from friends. If you are a fresher they will give good training. if you are experienced and considering about the growth and future.... better take frank opinions from the industry friends. -paulki
  10. P

    Design Compiler Operating Conditions (Warning)

    Hi Juliog, As you explained nicely. You are targetting Typical Corner "fsa0a_c_sc_tc" but you might forgot the corner in the correct format. It would be something like below. set_operating_conditions -min BCCOM -max WCCOM -min_library fsa0a_c_sc_bc -max_library fsa0a_c_sc_wc -typ TCCOM...
  11. P

    Actual silicon, concept of "x" state

    "X" is a simulation debug feature to identify where the contention or unpredictive state comes. In actual silicon as you all said there would not be any "X". Only valid value either "0" or "1" based on the cell or memory charecteristic (Vendor based). In memories (except ROM) some specific...
  12. P

    I2C and I2S Models and Checkers

    Hi folks, I need I2C and I2S protocol checkers (which is available without any company confidentiality). If anybody uses such open source code please share the same. More interested in modelling the "Timing" models of the I2C Master/Slave models as well as checkers. -paulki
  13. P

    VHDL testbench enhancement

    Hi folks, I need a help in enhancing my existing testbench with additional functionality. Want to know whether VHDL allows us to use compiler time defines ? ( like Verilog supports as `includes). If any such options are available please share with me. Appreciate all your inputs. Thanks, Paul
  14. P

    NCSIM Memory Content Viewer

    Hi techies, I'm using NCSim for my current project (09.20-s025). I need to view the memory contents which is pre-loaded in the GUI Simulation. As we know with Modelsim has simpler tool to display memory contents, do we have any sub tool in NCSim to view the memory contents? Here are my queries:-...

Part and Inventory Search

Back
Top