Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by omkar.991

  1. O

    XST 646 for Moving Average Filter

    Nope. I was trying directly with the synthesis. The other portion of the code (involving ADC interface) was found OK. Since there was some noise on ADC, it was decided to implement this filter.
  2. O

    XST 646 for Moving Average Filter

    Hello Friends, I am trying to design a moving average filter in FPGA. Using Xilinx Spartan 3A DSP XC3SD1800A. I am getting XST 646 error. I am unable to locate the root of the problem. I have tried to create an array of 12 bit word of length 2 to begin with. I am adding the 12 bit word and...

Part and Inventory Search

Back
Top