Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by o-man

  1. O

    [moved] rfid reader 125khz in vhdl

    this is code for clock divider library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mod_326 is generic( N: integer := 9; M: integer := 326 ); port( clk, reset: in std_logic; max_tick: out std_logic; q: out std_logic_vector(N-1 downto 0) ); end entity; architecture arch...
  2. O

    [moved] rfid reader 125khz in vhdl

    this is compare code ... library ieee; use ieee.std_logic_1164.all; entity compare is generic(W: integer := 8); port( clk, reset: in std_logic; clr_flag, set_flag: in std_logic; din: in std_logic_vector(w-1 downto 0); a: out std_logic_vector (4 downto 0):="00000" ; flag: out std_logic ); end...
  3. O

    [moved] rfid reader 125khz in vhdl

    this is uart code .... library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity UART_1 is generic( DBIT: integer := 8; SB_TICK: integer := 16 ); port( clk, reset: in std_logic; rx: in std_logic; s_tick: in std_logic; rx_done_tick: out std_logic; dout: out std_logic_vector(7...
  4. O

    [moved] rfid reader 125khz in vhdl

    we do that if the tag is right three leds in the kit will light if not only one led
  5. O

    [moved] rfid reader 125khz in vhdl

    can yuo help me to detect the error when i connect the rfid reader with kit doesn't give me the condition i want >>>>code >>>>> --RFID TOP-LEVEL DESIGN library IEEE; use IEEE.std_logic_1164.all; ENTITY RFID IS --I/O PINS PORT ( CLK, RESET,R_X : IN STD_LOGIC; A_o: out std_logic_vector...
  6. O

    GSM ATCOMMANDS in VHDL

    i want a simplifaction code for at commands for gsm sim900 in vhdl please i want help
  7. O

    [moved] rfid reader 125khz in vhdl

    i mean the steps .. i have read uart code but i dont have any idea about the next step what i have to do
  8. O

    [moved] rfid reader 125khz in vhdl

    how to program the rfid reader in vhdl .. i use FPGA kit
  9. O

    GSM ATCOMMANDS in VHDL

    can you help me ? i am working in project based on FPGA kit and GSM i have read the datasheet of GSM900 and i understood its ATcommands But i don't know how to code it in VHDL i knew i should have ascii code and shift register could you show me the steps should i follow it and if you have a...

Part and Inventory Search

Back
Top