Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by no_mad

  1. N

    UPF in FPGA

    Noted. Sorry, my bad. Actually, I'm starting to work on FPGA prototyping with UPF. I would like to understand how those power strategies, for example, isolation strategy, retention strategy, and power switch are implemented in FPGA and how do you verify those strategies in the FPGA platform...
  2. N

    UPF in FPGA

    Hi guys, Anyone have any experience enabling UPF in FPGA. I have a lot of questions, so I would like to get some inputs and feedback. Please help me out here. Thanks!
  3. N

    Suggestion: Low Power Section

    Thank you for your prompt reply. Actually, I don't have any links or specific forum to provide to EDAboard other than vendor tools forum. But this vendor forum specific to their tool. Honestly, this website has helped me to build my career in ASIC design and still does. Last year, I took up...
  4. N

    Suggestion: Low Power Section

    Hi, My suggestion is to add new section under "Digital Design & Embedded Programming" called Low Power Design and Verification This new section will also cover power management and power strategy. It will be an interesting topic to discuss. Thanks n regards, No_mad
  5. N

    [SOLVED] UPF: merging 2 (or more) power gated domain

    Hi, How can we identify 2(or more) power gated domains have same power characteristic? This is to reduce the number of power gated domain in a partition. Please help me out here. Thank you
  6. N

    FishTail: Industry Leading timing exception constraint verification??

    Hi guys, Do let me know what do you think of this topic. Does FishTail is the industry leading in the verifying timing exception constraint? I heard about GCA (Galaxy Constraint Analyzer) from Synopsys and never try it before. To those have experience in running and verifying timing exception...
  7. N

    [SOLVED] question on vcs -f filelist ?

    Here is one way to do it: 1) Compile your C code with GCC or G++ 2) Next, if the C code was compiled with x86_64 bit. Thus, to make it VCS simulator compatible with the generated C library file (*.o, *.so) use this line of command: vcs -full64 -sverilog -R +define+VCD -f ../../sim/filelist.f...
  8. N

    System Verilog: Virtual

    Thanks Dave. Now I can continue my journey to be System Verilog with OVM expert...my personal goal. My first step is understanding OOP in System Verilog. I read your article on classes. That is really good article. Thanks -no_mad
  9. N

    System Verilog: Virtual

    Hi, I'm new in System Verilog with OOP. Here is my question. What's the motivation of "virtual" in SV OOP? ⇒virtual method ⇒virtual class Can someone please explain this to me. Why We need "virtual" ?? Please help me out here. Thanks -no_mad
  10. N

    [SOLVED] question on vcs -f filelist ?

    Hi, What was the error message? thanks.
  11. N

    How to compile and perform simulation on System verilog with DPI-C call using VCS?

    Hi Nilesh, Good to hear that you are also doing this :) Anyway, here how I does it: 1) First u need to generate your *.so file: >> Example: g++ -Wall -m64 -g -fPIC your_cpp1.cpp your_cpp2.cpp -shared -o your_gen.so 2) VCS compile: >> Example: vcs -debug_all -full64 -sverilog -R...
  12. N

    New to Synthesis,require help

    Hi, The best way is if you have an access to use any synthesis tool, write a simple HDL code and try to synthesis. Also, keep a good reference book about synthesis with you. Second is Google :)...then, any issues or doubts, you can post it here. All the best and enjoy!!
  13. N

    Looking for emulation and transactor group/forum

    Hi guys, Anyone knows any emulation or transactor groups or forum? Please advice. thanks in advance...
  14. N

    How to compile and perform simulation on System verilog with DPI-C call using VCS?

    Hi all, I'm new to SV+DPI-C environment. I'm using VCS for simulation. Question 1: What are the options in VCS to compile C-code together with SystemVerilog codes. Please shed some light. Thanks in advance
  15. N

    VI: How to add a prefix to every line? (such as # or //)

    Yes, i got it. Highlight the lines, then type this command :'<,'>s/^/#/g It works. Thanks for your help.

Part and Inventory Search

Back
Top