Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by nge

  1. N

    What book is good to learn Verilog?

    Want to learn Verilog? I want to learn verilog and can you point me to a good verilog book. I can write VHDL really well. I do not know anything about verilog, I think it won't be that hard. Thanks nge
  2. N

    Need help: LED display for V-II

    Thanks for your reply. I just want to observe the outputs from FPGA. The pins have 3.3V output. I am using Virtex-II which has 64 User I/O and I just want to connect them to 64 LEDs. I am not sure whether I can drive LEDs directly from FPGA using resister-LED combos. Do I need to use LED driver...
  3. N

    Why cann't my unit be synthesized in top level

    Could you give us more info or sample of your code if it's not big?
  4. N

    Need help: LED display for V-II

    mbi50xx Hi, I would like to build LED display for Xilinx Virtex_II. I need to connect 64 LEDs to 64 bits of USER I/O. Could you give me any suggestion or info? Thanks. Nge
  5. N

    MUX_DEMUX Bidirectional

    How can I design MUX/DEMUX on bidirectional port? It doesn't seem right. Any help would be great. Thanks entity MUX_DEMUX is generic (n : integer); port ( a : inout std_logic_vector(n-1 downto 0); b : inout std_logic_vector(n-1 downto 0); o : inout std_logic_vector(n-1 downto 0); s ...
  6. N

    Need help - vhdl synplify

    How can I specify timing constraint in vhdl code as attributes? I don't want to use constraint file, I want to put them in vhdl code as attributes. I know how to do that for pin assignments. But I have a problem with timing constraints. This is a piece constraints from .ucf file. I am trying to...
  7. N

    What's the use of "&" operator in VHDL?

    Hi all, I need help with the VHDL operator '&'. In some documents, it's been listed as bitwise AND operator but in others it's a CONCATENATION operator. In active-help online help, the first page said it's CONCATENATION and on the next page it's bitwise AND. I really need help. Thanks. Nge
  8. N

    Question about Parallel Programming

    Dear All, I have a question about parallel programming. In some programming languages, we can do some part of the code to execute in parallel, but what I'm confusing is how these codes run on a processor (say, normal intel p4), time scheduling or what? thanks nge
  9. N

    C++ question! - help with malloc, code understanding

    I know that code is a simple vector memory storage allocator. But I didn't understand the line "return v-nl+NR_END;". malloc function allocates memory and returns the pointer to the start of that allocated memory block, isn't it? Why do we have to reposition the pointer by subtracting nl+NR_END?
  10. N

    C++ question! - help with malloc, code understanding

    The code is from Numerical Recipes book. The thing I didn't understand is the line "return v-nl+NR_END;". As far as I know malloc returns the point to the storage allocated. Can anyone explain me this. float *vector(long nl, long nh) /* allocate a float vector with subscript range v[nl..nh] */...

Part and Inventory Search

Back
Top