Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by napiuuul

  1. N

    code vhdl for multiplication matrix, need help

    Re: code vhdl for multiplication matrix .. need hekp how about this? behavioral file: LIBRARY ieee; USE ieee.std_logic_1164.ALL; entity DCT_beh is port ( Clk : in std_logic; Start : in std_logic; Din : in INTEGER...
  2. N

    code vhdl for multiplication matrix, need help

    Re: code vhdl for multiplication matrix .. need hekp what's wrong with this ? library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; package matmul is type t11 is array (0 to 2) of unsigned(15 downto 0); type t1 is array (0 to 3) of t11; type t22 is array (0 to 4) of...
  3. N

    code vhdl for multiplication matrix, need help

    Re: code vhdl for multiplication matrix .. need hekp can you show me the right one please? actually i don't understand vhdl code well.. i'm a newbie. Help :((
  4. N

    code vhdl for multiplication matrix, need help

    code vhdl for multiplication matrix .. need hekp ccording this site https://vhdlguru.blogspot.co.id/2010/03/matrix-multiplication-in-vhdl.html i have tried but failed i have followed every instruction but for matmu.vhd, the instruction not clear.. i created the file for matmul.vhd like this...
  5. N

    Vhdl code for square ?

    i want to create program that have expression -> (x divided ((y/100) squared))) {x and y = input}. this vhdl code will be implemented with FPGA-DE1 board. input consist binary number.. can you help me?
  6. N

    Vhdl code for square ?

    do the vhdl can use expression * as a multiplication? i want to square input x at vhdl

Part and Inventory Search

Back
Top