Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Set-up time violation should be taken care in front-end design. As far as I know, front end deals with rtl description. How is set-up time being fixed here?
Thanks in advance.
i hope you got that m using a fixed point number notation in std_logic_vector.
the * operator really doesn't work, because a number 1.5 is stored as "00000001 10000000" which is recognized as 384. Hence the * operation results the square of 384 but not 1.5.
It's quite complicated!
May be I...
I have done over half my code using std_logic_vector and m stuck at this point where squaring has to be done. How can I use signed type?
The removal of 8 MSBs and 8 LSBs is not a problem coz i don't work on large numbers and require only 8 bit precision. Well, 16 and 32 can be accommodated in...
Hi,
I have a std_logic_vector(16 downto 0) which stores a fixed point number in which, the bits (16 downto 8) store the integer part of the fixed point number and (7 downto 0) store the fractional part of the fixed point number.
Now, I need to square the number to obtain the result in another...
Hi,
I'm in search of algorithms that compute logarithm for fixed point numbers.
I need to develop vhdl code for the algorithm. Can someone list a few?
Thanks in advance! :)
It is a known fact that semiconductors short at high temperatures or ionizing radiation environments, because of the high current density contributed by the formation of new electron hole pairs. How does plasma respond under these conditions? I have read a paper that the operation of...
I made few changes to it, yet getting this error:
ERROR:Xst:528 - Multi-source in Unit <keypad> on signal <N0>; this signal is connected to multiple drivers.
this time, which can be the signal that has multiple drivers?
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.