Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
The following code is for 4 bit ripple carry adder. In order to reduce delay, I inserted registers in the critical path. I need delay from 1st input to cout.
In the report there are several delays and offsets. I have bolded the delays and offsets in the report.
Code:
module...
How to find delay from Xilinx synthesis report and what is combinational path delay
Final Report *
=========================================================================
Final Results
RTL Top Level Output File Name : ripple_carry_4_bit.ngr
Top Level Output...
Could any one explain what is meant by a mathematical model pertained to architectural synthesis and could you please provide some mathematical models as example...I downloaded some articles but I cant decide which one I should choose..
Thank you
this is the code for converting decimal to binary in vhdl.How to cinvert a vhdl code to verilog code
library IEEE;
use ieee.std_logic_1164.all;
use IEEE.numeric_std.all;
--
entity decimal is
end decimal;
architecture beh of decimal is
signal my_sulv1 : std_ulogic_vector(15 downto 0);
signal...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.