Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Mudugamuwa

  1. M

    Problem with CRC in VHDL Ethernet MAC design

    Re: Ethernet MAC Could you please send me a link for that book. I searched the Edaboard ebook place. But there was only a solution manual. I guess you are not talking about that. Thanks! Mudu...
  2. M

    Looking for USB 2.0 Host IP Core

    Hi, Please send me a link to find a 'USB 2.0 Host IP Core'. I checked the Opencores.org, but there is only a 'USB 2.0 Device IP Core'. Thanks, Mudu...
  3. M

    Problem with CRC in Ethernet MAC design

    Hi, I'm designing a Ethernet MAC with a Xilinx chip. The problem I have is with respect to the CRC at the end of Ethernet frame. I want to know from where I have to start calculating the CRC. From books it says, from the data given from the upper layer (layer 3) in ISO hierarchy. According to...
  4. M

    what is the latest CCNA book ?

    latest ccna books Hi, I got certified in 2005 and used the Cisco Press - ICND 2004. I also referred the Cybex book. Anyway I would like to recommend the Cisco Press book. You should probably be able to download the 2007 release of the Cisco Press book from net130.com after few intelligent...
  5. M

    Problem with CRC in VHDL Ethernet MAC design

    Hi, I'm designing a Ethernet MAC with VHDL. The problem I have is with respect to the CRC at the end of Ethernet frame. I want to know from where I have to start calculating the CRC. From books it says from the data given from the upper layer (layer 3) in ISO hierarchy. According to that the...
  6. M

    Need materials to learn Verilog

    Re: New to Verilog.. Hey!!! Check the 'CPLD,.....,FPGA Design' index in this forum. As for a starter I can recommend www.fpga4fun.com Best Rdgs!!!
  7. M

    VHDL Subtype declaration

    vhdl subtype Hi, Got the point! Thanks !!!
  8. M

    VHDL Subtype declaration

    subtype declaration vhdl I declared a array as follows type matrix is array (0 to 21) of std_logic_vector(31 downto 0); I want to make a subtype out of this which has indexes from 0 to 12. Could anyone give me the coding.
  9. M

    Xilinx Spartan 3 - DCM

    Hi, Thanks! I could make the VHDL coding for that. But the simulation didn't give me expected results. Does simulation work fine, with the generated module? Best regards, Mudu
  10. M

    Xilinx Spartan 3 - DCM

    dcm in spartan I want to increase the clock twice using DCM. Could anyone exactly tell me the procedure. Thanks. Best regards, Mudugamuwa
  11. M

    Help me with programing registers for DVB-S Tuner

    Hi, I'm hoping to design a Free-to-Air Set-Top Box. I'm using the Intel CE5039 Tuner IC and Intel CE6313 Demodulator IC. I want some further information about programming their registers to tune to a certain frequency at the Ku band. Can anybody help me? Best Regards, Mudugamuwa.
  12. M

    PIC Simulator IDE Found

    pic simulator ide 6.35 I've got the PIC Simulator IDE v5.22. If anyone interested download it. Rgds, Mudugamuwa
  13. M

    What language is better for PIC programming: C or Assembly?

    Re: need your advice Hello mohamed saleh, My advice is if you are new to PIC programming it is better to learn assembly a bit before begining. That is because you can understand the very basics underline if you do it. After that you can learn a high level language like Basic or C. Here...

Part and Inventory Search

Back
Top