Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by MR.sam

  1. M

    verilog code to find max and min in an input..

    i have written the complete code as follows for normalization but it didn't give the result which i expect module max_min(input clk, input [15:0]din, output [15:0]dout); reg [15:0]max=0; reg [15:0]min=0; reg [15:0]din1; reg [15:0]din2; always @(posedge clk) begin if($signed(din)>max) max=din...
  2. M

    verilog code to find max and min in an input..

    thanks for yours reply..dear i want that my max reg contain only the maximum value among all 1000 sample values..can u suggest me some modification in this code? - - - Updated - - - hi FvM..thaks alot for yours reply..dear i want to find a single max and min value in input din, so further i...
  3. M

    verilog code to find max and min in an input..

    I want to find max and min in input file, read from a memory. This input file containsize 1000 decimal sample values. I have written the following code to find max and min by comparing with a threshold. module max_min(input clk, input [15:0]din, output [15:0]dout); reg [15:0]max=0; reg...

Part and Inventory Search

Back
Top