Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by moinabrar

  1. M

    Want to buy a FPGA board, to start with

    Xilinx support Spartan 3E.. I believe for a starter Spartan3E is good..
  2. M

    Want to buy a FPGA board, to start with

    I suggest to buy SPARTAN 3E, it is a low cost starter kit...
  3. M

    problem on MATLAB installation

    check ur setup may be corrupted or ur installer may not be supporting the s/w..
  4. M

    [SOLVED] problems in installing matlab

    I too had same problem.. If u r using xxxx Warning! Do not post any comments that supports for illegal software installations or supports
  5. M

    Pls help for 8 point FFT in vhdl for our project.

    i need 8 point fft for our project(in vhdl), and am using spartan 3e(xilinx), pls give us some idea or algorithms..
  6. M

    [SOLVED] Need Help For A Program in VHDL (Keyboard to LCD Display)

    I need to convert the data from keyboard to input data lines of lcd..
  7. M

    [SOLVED] Binary to Hex Code Conversion using VHDL

    I didnt get u.. Actually i want to convert the binary data from keyboard to hex code for input to LCD.
  8. M

    [SOLVED] Binary to Hex Code Conversion using VHDL

    Please help me to translate Binary code into Hexadecimal code using VHDL program.. I am using spartan 3E.
  9. M

    [SOLVED] Need Help For A Program in VHDL (Keyboard to LCD Display)

    Atleast give me a program for Binary to Hexadecimal conversion using VHDL..
  10. M

    [SOLVED] Need Help For A Program in VHDL (Keyboard to LCD Display)

    Will check.. Thanks ---------- Post added at 21:10 ---------- Previous post was at 21:09 ---------- I am getting this error now.. Multi-source in Unit <main> on signal <led_out<7>>; this signal is connected to multiple drivers.
  11. M

    [SOLVED] Need Help For A Program in VHDL (Keyboard to LCD Display)

    Here is my main program.. in this led_out is output of keyboard but it has got error.. led_out cannot be used as d=>led_out library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity main is port(clk : in std_logic; rs_lcd : out...

Part and Inventory Search

Back
Top