Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mohit1108

  1. M

    Arithmetic operations in vhdl

    I have made a program for PI controller and the excerpts are as follows- v1<= v_prev + (k1*iq_error)+(k2*f_prev1)+(k3*f_prev2); All the variables are std logic vector ( 7 downto 0) but there is an error in execution of this code- * can not have such operands in this context. I have also...
  2. M

    Please help me out with VHDL code for sine wave with proper explanation

    I am an electrical engineering student and working on the speed control of PMSM motor using FPGA kit SPARTAN 3E. I need sine wave generator code for 2-3 phase converter application ia = sin θ. iq ib = sin (θ-2Π/3). iq ic = sin (θ+ 2Π/3). iq iq= 8 bit input and θ is also a 8 bit input...

Part and Inventory Search

Back
Top