Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mertberkea

  1. M

    Using Verilog Tasks in VHDL Code

    Hello friends, I am currently working on designing a QSPI flash controller module. When I checked the manufacturer's page of flash, aside from flash memory model, there was a test bench environment. In this testbench environment, there is a verilog file that contains all required tasks to...
  2. M

    Converting a RF signal into baseband using VHDL

    Hello friends, I am a junior d&v engineer at a relatively small company. I assigned for a task that I need to convert an high frequency RF signal into baseband signal. From my telecommunication lectures I know that I need a carrier wave to obtain low and high frequency components of my original...

Part and Inventory Search

Back
Top