Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by melpeza

  1. M

    While loop synthetize???

    What's the way to generate the code as ASCII?? I have UART module from asic-world that recives byte and sends a byte... This byte is sent bit at time.. The module: //----------------------------------------------------- // Design Name : uart // File Name : uart.v // Function : Simple...
  2. M

    While loop synthetize???

    Yeah i understand these diference... Inside that block is a code i would like to do just once... So i used this posedge thing I would also like to ask this... I have a program that receive data over UART... UART i capable to transfer only 8bits of data.. Including 2 stop bits .. My main...
  3. M

    While loop synthetize???

    Also i have a question about for loop synthesis... I found all kinds of opinions about for loop.. Someone said that for loop can be synthesized only if using @( clk ) My code: always @ (posedge mem_full) begin for( i=0; i<SIZE; i=i+1 ) begin out = out*mem[SIZE]+mem[i]; end...
  4. M

    While loop synthetize???

    This is just program for test module... There are many mistakes and i needs to be optimized.. But my question was... Is the while loop parallel with the internal signal... Or, while loop finish first, than signal generate Thanks again!
  5. M

    While loop synthetize???

    Hi, do you know how to correctly implement this: I would like to do something in while loop.. After that i would like to generate internal signal that the while loop has finished... So, my question is, are these two operations parallel, or while loop first finish, and after that signal...

Part and Inventory Search

Back
Top