Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mctnnn

  1. M

    connecting input/output

    i have development kit max 5 cpld and try to go through a design example, to learn programming vhdl. the developement kit board has 2 leds and 2 pushbuttons. I want to know what I need to do to change the code, so that i could turn on/of leds by push on pushbuttons. thanks for reply. /than
  2. M

    connecting input/output

    Hello, I need help to connect pushbutton with led_ctrl. Can someone help me to do it, thanks. /than nguyen library ieee; use ieee.std_logic_1164.all; entity vj_block is port ( -- inputs: MAX_VER : IN STD_LOGIC_VECTOR(7 downto 0); board_ID : IN STD_LOGIC_VECTOR(15 downto 0)...

Part and Inventory Search

Back
Top