Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mcfly

  1. M

    How to simulate this vhdl code using max plus 2

    i don know why i cant attach the file.anyway i paste the code here library IEEE; use IEEE.std_logic_1164.all; entity huff_dec is port ( clk : In std_logic; DataIn_de3 : In std_logic_vector(2 downto 0); DataIn_de4 : In std_logic_vector(3 downto 0); DataIn_de5 : In...
  2. M

    How to simulate this vhdl code using max plus 2

    forget to attach the file in prvious posting. here is the attachment
  3. M

    How to simulate this vhdl code using max plus 2

    i wanna know how 2 simulate this vhdl file. i'm currenly using max plus 2 v10.2. i have try to simulate the following fil but i cant get the ouput. here is the brief about what the vhdl code all about. there is Length which carries 3 bit. when it see the unique Length bit, it will search for...
  4. M

    IEEE.std_logic_misc.ALL

    ieee.std_logic_misc.all; i don get it when u say just rename the entity name?? is it save it as the same name as the entity?? but the prob is that ..in my library i cant find the this IEEE.std_logic_misc.ALL. there are unsigned, signed, arith etc, but no misc. i found the misc code and i...
  5. M

    IEEE.std_logic_misc.ALL

    std_logic_misc i'm using max plus II v10.2. i came across the code that need 2 use this library IEEE.std_logic_misc.ALL i dlloaded the code and save it into the maxplus2\vhdl93\ieee folder. i name the file as misc.vhd. am i save it as a correct file name? or should i save as...
  6. M

    Data compression using VHDL code

    what u mean to say is that...let say there is this Huffman block...and it is specified in the table that this huffman block will have this n that pins... so we just have to find the code for Huffman and then add/modify the codes by re-specify the pin in the declaration of the found code ???
  7. M

    Data compression using VHDL code

    i would like to know more about coding the vhdl. in most of the thesis or article i saw, they draw the block diagram and the tables where the pins are specified. so can i code from there...let say finding the vhdl code for the block diagram in internet and then use the pin specified in the...
  8. M

    Data compression using VHDL code

    I hope to find a complete vhdl code for data compression or any file compression. then it can be decompress to get back the original file. i'd been looking for this code but to no avail. hopefully it will be using the huffman to compress, but any compression method is always welcome. i'm...
  9. M

    Data COmpression uding VHDL code

    it's me again. i'd posted this in the other topics already but i found out that this topic here is relevant as well. I hope to find a complete vhdl code for data compression or any file compression. then it can be decompress to get back the original file. i'd been looking for this code but to...

Part and Inventory Search

Back
Top