Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mbago

  1. M

    PID with fixed point numbers

    I made a new code for testing. It contains only the PID modul and the dac modul for Digilent PMOD-DA2 (I use the official code from the website). Here is the code of the PID: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library ieee_proposed; use...
  2. M

    PID with fixed point numbers

    Thanks for the reply in the test condition the error signal is constant. That means the integer of this constant will increase with time. Of course I can't let to increase infinitly that is why I use max value. Like in analog way the output of the opa is limited by the voltage supply. I can't...
  3. M

    PID with fixed point numbers

    Hello Everybody, I made a PID controller modul in VHDL, but I have a problem with the integral part. I'm using sfixed datatype. The problem is with this part: if(I>MAX_Range) then I<=MAX_Range; elsif(I<MIN_Range) then I<=MIN_Range; else I<=resize(Ki*error+I,16,-4); end if; All of the...

Part and Inventory Search

Back
Top