Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by maysri

  1. M

    Each ROM Data to be stored in separate registers

    Yes, I'm new to this field... Now it's working. Thanks for the guidance. Thanks, Mayank
  2. M

    Each ROM Data to be stored in separate registers

    My main objective is to store each value of ROM into separate registers. Suppose ROM contains {0AD4, 0087, AF41,....}, now reg 1 should contain 0AD4 only, reg 2 0087 only... For this I wrote the above code but it's not working...Kindly guide me
  3. M

    Each ROM Data to be stored in separate registers

    entity app is port ( clk : in std_logic; rst : in std_logic; ce : in std_logic; a0 : out std_logic_vector(15 downto 0); a1 : out std_logic_vector(15 downto 0) ); end app; architecture Behavioral of app is component counter is generic ( width : integer := 10; limit ...
  4. M

    Each ROM Data to be stored in separate registers

    Hi, In ROM, I'm storing 10 data and trying to pass each data to the separate register. Each register should contain each ROM data. Address of the ROM is being controlled through counter. Code Snippet : -------------------------------------------------------------------------------------------...

Part and Inventory Search

Back
Top